Advertisment

Cadence expands physically aware synthesis in RTL compiler

author-image
Harmeet
New Update

SAN JOSE, USA: Cadence Design Systems Inc, a leader in global electronic design automation, introduced the Encounter RTL compiler version 13.1.

Advertisment

Which includes a new suite of physically aware RTL synthesis capabilities that deliver up to 15 percent improvement in power, performance and area on the most complex advanced node chip designs that face timing or congestion challenges.

These new capabilities are part of a production-ready physical synthesis engine that enables engineers to use physical aware techniques at the earliest phases of synthesis for better silicon results.

"While collaborating with Cadence on the development of several production designs, Fujitsu deployed RTL compiler's next-generation physically aware RTL synthesis technologies on a 1GHz, eight-CPU core design," said Satoru Yamaguchi, president and CEO of Fujitsu Semiconductor America. "We were able to improve timing and area by more than 10 percent, allowing us to shrink the chips for our customer while demonstrating the benefit of this new synthesis solution."

Advertisment

As geometries shrink beyond 28nm, changes in interconnect characteristics make it much more difficult to achieve optimal timing and closure. The new RTL compiler capabilities let design teams address these challenges earlier in the design process so they can achieve faster timing closure, while improving performance, power and area.

The new RTL synthesis capabilities include physically aware structuring, mapping, multi-bit cell inferencing and design for test that offer significant benefits for Cadence customers.

Physically aware structuring and mapping can improve performance by more than 10 percent and area by more than 15 percent on complex SoCs by considering pin and register placement when deciding which micro-architectures to synthesize to, and how to balance them.

Physically aware multi-bit cell inferencing can lower power by more than 10 percent by merging single registers into multi-bit registers that share a clock.

"Cadence has re-architected RTL compiler to weave physical awareness into stages of RTL synthesis that were traditionally logic only, allowing engineers to leverage floorplan and placement data as early as possible in the flow to ensure correlation with the encounter digital implementation system," said Anirudh Devgan, senior VP of the digital and signoff group at Cadence. "Our investment continues in this area, with our customers citing benefits for timing, power, and area in a wide range of target applications and better out-of-box results reducing iterations."

semicon